Linköping University: Students Alumni Trade and Industry/Society Internal Search

Search Results

AUTHOR:BASHIR M. AL-HASHIMI
Found 17 entries
  1. Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving
    Zhiyuan He, Zebo Peng, Petru Eles, Paul Rosinger, Bashir M. Al-Hashimi
    Journal of Electronic Testing; Theory and Applications (JETTA), Special Issue on DFT 2006, Vol. 24, Numbers 1-3, June 2008, pp. 247-257.
  2. Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving
    Zhiyuan He, Zebo Peng, Petru Eles, Paul Rosinger, Bashir M. Al-Hashimi
    International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'06), Arlington, Virginia, USA, October 4-6, 2006, pp. 477-485
  3. Dual Flow Nets: Modeling the Control/Data-flow Relation in Embedded Systems
    Mauricio Varea, Bashir M. Al-Hashimi, Luis A. Cortés, Petru Eles, Zebo Peng
    ACM Transactions on Embedded Computing Systems (TECS), Vol. 5, Issue 1, February 2006, pp 54-81
  4. Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction
    Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz, Petru Eles
    8th Euromicro Conference on Digital System Design (DSD'2005), Porto, Portugal, August 30 - September 3, 2005, pp. 34-40
  5. Cosynthesis of Energy-Efficient Multimode Embedded Systems With Consideration of Mode-Execution Probabilities
    Marcus Schmitz, Bashir M. Al-Hashimi, Petru Eles
    IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 24, Issue 2, Feb. 2005, pp. 153-169
  6. Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems
    Alexandru Andrei, Marcus Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi
    IEE Proceedings Computers & Digital Techniques, special issue with the best contributions from the DATE 2004, Volume 152, Issue 01, January 2005, pp. 28-38
  7. Quasi-Static Voltage Scaling for Energy Minimization with Time Constraints
    Alexandru Andrei, Marcus Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi
    Design Automation and Test in Europe Conference (DATE 2005), Munich, Germany, March 7-11, 2005, pp. 514-519
  8. Simultaneous Communication and Processor Voltage Scaling for Dynamic and Leakage Energy Reduction in Time-Constrained Systems
    Alexandru Andrei, Marcus Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi
    International Conference on Computer Aided Design (ICCAD 2004), San Jose, USA, November 7-11, 2004, pp. 362-269.
  9. Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems
    Alexandru Andrei, Marcus Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi
    Design, Automation and Test in Europe (DATE 2004), Paris, France, February 16-20, 2004, pp. 518-523
  10. Iterative Schedule Optimisation for Voltage scalable Distributed Embedded Systems
    Marcus Schmitz, Bashir M. Al-Hashimi, Petru Eles
    ACM Transactions on Embedded Computing Systems, Vol. 3, Nr. 1, 2004, pp. 182-217
  11. System-Level Design Techniques for Energy-Efficient Embedded Systems
    Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles
    Kluwer Academic Publishers, ISBN 1-4020-7750-5, December 2003
  12. Scheduling and Mapping of Conditional Task Graph for the Synthesis of Low Power Embedded Systems
    Dong Wu, Bashir M. Al-Hashimi, Petru Eles
    IEE Proceedings - Computers and Digital Techniques, Vol. 150, Issue 5, September 2003, pp. 303-312
  13. A Co-Design Methodology for Energy-Efficient Multi-Mode Embedded Systems with Consideration of Mode Execution Probabilities
    Marcus Schmitz, Bashir M. Al-Hashimi, Petru Eles
    Design Automation and Test in Europe (DATE 2003) Conference, 3-7 March 2003, Munich, Germany, pp. 960-965
  14. Scheduling and Mapping of Conditional Task Graph for the Synthesis of Low Power Embedded Systems
    Dong Wu, Bashir M. Al-Hashimi, Petru Eles
    Design Automation and Test in Europe (DATE 2003) Conference, 3-7 March 2003, Munich, Germany, pp. 90-95
  15. Synthesizing Energy-Efficient Embedded Systems with LOPOCOS
    Marcus Schmitz, Bashir M. Al-Hashimi, Petru Eles
    Design Automation for Embedded Systems, Volume 6, Issue 4, pp. 401-424, Kluwer Academic Publishers, 2002
  16. Symbolic Model Checking of Dual Transition Petri Nets
    Mauricio Varea, Bashir M. Al-Hashimi, Luis Alejandro Cortes, Petru Eles, Zebo Peng
    10th International Symposium on Hardware/Software Codesign (CODES 2002), Estes Park, Colorado, USA, May 6-8, 2002, pp. 43-48.
  17. Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems
    Marcus Schmitz, Bashir M. Al-Hashimi, Petru Eles
    Design Automation and Test in Europe Conference (DATE 2002), Paris, France, March 4-8, 2002, pp. 514-521
( ! ) perl script by Giovanni Squillero with modifications from Gert Jervan   (v3.1, p5.2, September-2002-)